Intel Core 2 - Vev

Intel Core 2

Un article de Vev.

Jump to: navigation, search

Modèle:Infobox Computer Hardware Cpu

The Core 2 brand refers to a range of Intel's consumer 64-bit dual-core and MCM quad-core CPUs with the x86-64 instruction set, and based on the Intel Core microarchitecture, which derived from the 32-bit dual-core Yonah laptop processor. (Note: The Yonah had two interconnected cores, similar to those branded as Pentium M, but comprising a single silicon chip or die.) The 2x2 MCM dual-die quad-core<ref> Intel Clovertowns step up, reduce power

. TG Daily  
 

 

. Retrieved on 2007-09-05. </ref> CPU had two separate dual-core dies (CPUs) - next to each other - in one quad-core MCM package. The Core 2 relegated the Pentium brand to a lower-end market, and reunified the laptop and desktop CPU lines divided into the Pentium 4, D, and M brands.

The Core microarchitecture returned to lower clock speeds and improved processors' usage of both available clock cycles and power compared with preceding NetBurst of the Pentium 4/D branded CPUs<ref> Penryn Arrives: Core 2 Extreme QX9650 Review

. ExtremeTech  
 

 

. Retrieved on 2006-10-30. </ref>. It translated into more efficient decoding stages, execution units, caches, and buses, etc, reducing the power consumption of Core 2 branded CPUs, while enhancing their processing capacity.

The Core 2 brand was introduced on July 27, 2006<ref> Intel Unveils World's Best Processor. It's advertising campaign "Core to the Floor" being its main marketing media.

. Intel  
 

 

. Retrieved on 2007-08-14. </ref> comprising of the Solo (single-core), Duo (dual-core), Quad (quad-core), and Extreme (dual- or quad-core CPUs for enthusiasts) branches, as of 2007<ref>"

   Intel to unify product naming scheme 
     
 " , TG Daily
 
  . Retrieved on 2007-08-06
 . </ref>. 

Sommaire

Duo, Quad, and Extreme

The Core 2 branded CPUs include: "Conroe" and "Allendale" (dual-core for higher- and lower-end desktops), "Merom" (dual-core for laptops), "Kentsfield" (quad-core for desktops), and their variants named "Penryn" (dual-core for laptops), "Wolfdale" (dual-core for desktops) and "Yorkfield" (quad-core for desktops). (Note: For the server and workstation "Woodcrest", "Clovertown", and "Tigerton" CPUs see the Xeon brand<ref> Intel Unleashes New Server Processors That Deliver World-Class Performance And Power Efficiency

. Intel  
 

 

. Retrieved on 2006-06-26. </ref>.)

The Core 2 branded processors featured the Virtualization Technology (except T52x0, T5300, T54x0, T5500 with stepping "B2", E2xx0 and E4x00 models), Execute Disable Bit, and SSE3. Their Core microarchitecture introduced also SSSE3, Trusted Execution Technology, Enhanced SpeedStep, and Active Management Technology (iAMT2). With a Thermal Design Power (TDP) of up to only 65 W, the Core 2 dual-core Conroe consumed only half the power of less capable, but also dual-core Pentium D-branded desktop chips<ref> The 65 nm Pentium D 900's Coming Out Party: Test Setup

. Tom's Hardware  
 

 

. Retrieved on 2007-06-15. </ref> with a TDP of up to 130 W<ref> The 65 nm Pentium D 900's Coming Out Party: Thermal Design Power Overview

. Tom's Hardware  
 

 

. Retrieved on 2007-06-15. </ref> (a high TDP requires additional cooling that can be noisy or expensive).

Typically for CPUs, the Core 2 Duo E4000/E6000, Core 2 Quad Q6600, Core 2 Extreme dual-core X6800, and quad-core QX6700 and QX6800 CPUs were affected by minor bugs.<ref> Analysis: How serious are the bugs in Intel's Core 2 Duo?

. TG Daily  
 

 

. Retrieved on 2006-07-03. </ref>

Current processors

Image:Intel Core 2 Solo.png
Core 2 Solo brand logo
Image:Intel Core 2 Duo.png
Core 2 Duo brand logo
Image:Intel Core 2 Extreme.png
Core 2 Extreme brand logo


Conroe

The first Intel Core 2 Duo branded processor cores, code-named Conroe (Intel product code 80557), were launched on July 27, 2006, at Fragapalooza, a yearly gaming event in Edmonton, Alberta, Canada. These processors were fabricated on 300 mm wafers using a 65 nm manufacturing process, and intended for desktop computers, as a replacement for the Pentium 4 and Pentium D branded CPUs. Intel has claimed that Conroe provides 40% more performance at 40% less power compared to the Pentium D. All Conroe processors are manufactured with 4 MB L2 cache; however, due to manufacturing defects or possibly for marketing purposes, the E6300 and E6400 versions based on this core have half their cache disabled, leaving them with only 2 MB of usable L2 cache. These Conroe-based E6300 and E6400 CPUs have the B2 stepping.

The lower end E6300 (1.86 GHz) and E6400 (2.13 GHz), both with a 1066 MT/s FSB, were released on July 27, 2006. Traditionally, CPUs of the same family with less cache simply have the unavailable cache disabled, since this allows parts that fail quality control to be sold at a lower rating. As yields improve, they may be replaced with versions that only have the cache amount needed on the die, to bring down manufacturing cost. At launch time, Intel's prices for the Core 2 Duo E6300 and E6400 processors were US$183 and US$224 each in quantities of 1000. Conroe CPUs have improved capabilities over previous models with similar processor speeds. According to reviews, the larger 4 MB L2 cache vs. the smaller 2 MB L2 cache at the same frequency and FSB can provide a 0–9% performance gain with certain applications and 0–16% performance gain with certain games.<ref> Core 2 Duo: 2 or 4 MB cache? (french)

. Matbe  
 

 

. Retrieved on 2006-06-29. </ref><ref> Intel's Core 2 Duo processors

. Bit-Tech  
 

 

. Retrieved on 2006-07-14. </ref> The higher end Conroe processors are the E6600 (2.4 GHz) and E6700 (2.67 GHz) Core 2 Duo models. The family has a 1066 MT/s front side bus, 4 MB shared L2 cache, and 65 watts TDP. These processors have been tested against AMD's current top performing processors (Athlon 64 FX Series), which were, until this latest Intel release, the fastest CPUs available. Conroe chips also experience much lower heat output compared to their predecessors — a benefit of the new 65 nm technology. At launch time, Intel's prices for the Core 2 Duo E6600 and E6700 processors were US$316 and US$530, respectively, each in quantities of 1000.

E6320 and E6420 Conroe CPUs at 1.86 and 2.13 GHz respectively were launched on April 22, 2007 featuring a full 4 MB of cache and are considered Conroes.

Intel released four additional Core 2 Duo Processors on July 22, 2007. The release coincided with that of the Intel Bearlake (x3x) chipsets. The new processors are named Core 2 Duo E6540, E6550, E6750, and E6850. Processors with a number ending in "50" have a 1333 MT/s FSB. The processors all have 4 MB of L2 cache. Their clock frequency is similar to that of the already released processors with the same first two digits (E6600, E6700, X6800).<ref> "Conroe" Refresh Details Unveiled

. DailyTech  
 

 

. Retrieved on 2006-10-17. </ref> An additional model, the E6540, was launched with specifications similar to the E6550 but lacking Intel Trusted Execution Technology and vPro support. These processors are slated to compete with AMD's Stars processor line and are therefore priced below corresponding processors with a 1066 MT/s FSB.<ref name=Q3Slashes>"

   Intel Processor Price List 
     
 "  (PDF) 
    , Intel
 
  . Retrieved on 2007-07-24
 . </ref>

Intel has stated that the E6300 and the E6400 are Conroe CPUs with the cache disabled. Allendale core CPUs are the E4XX0 series of CPUs.

Conroe XE

The Core 2 Extreme was officially released on July 29, 2006. However some retailers appeared to have released it on July 13, 2006, though at a higher premium. The less powerful E6x00 models of Core 2 Duo were scheduled for simultaneous release with the X6800, which are both available at this time. It is powered by the Conroe XE core and replaces the dual-core Pentium Extreme Edition processors. Core 2 Extreme has a clock speed of 2.93 GHz and a 1066 MT/s FSB, although it was initially expected to be released with a 3.33 GHz and 1333 MT/s. The TDP for this family is 75–80 watts. With SpeedStep enabled, the average temperature of the CPU when idle is essentially that of the ambient atmosphere.<ref> Schmid , Patrick


 ; Töpelt, Bert 


.    Game 
. Tom's Guide Publishing 
   

. Retrieved on 2006-07-17. </ref>

At launch time, Intel's price for the Core 2 Extreme X6800 was US$999 each in quantities of 1000. Like the desktop Core 2 Duo, it has 4 MiB of shared L2 cache available. This means that the only major difference between the regular Core 2 Duo and Core 2 Extreme is the clock speed and unlocked multiplier, usual advantages of the "Extreme Edition." The unlocked upward multiplier is of use to enthusiasts which allow the user to set the clockspeed higher than shipping frequency without modifying the FSB unlike mainstream Core 2 Duo models which are downward unlocked only.

Conroe L

On October 21, 2007, Intel presented a new processor for its Intel Essential Series. The full name of the processor is a Celeron 220 and is soldered on the D201GLY2 motherboard. With 1.2 GHz and a 512KB second level cache it has a TDP of 19 Watt and can be cooled passively. The Celeron 220 is the successor of the Celeron 215 which is based on a Yonah core and used on the D201GLY motherboard. This processor is exclusively used on the mini-ITX boards targeted to the sub-value market segment.<ref> NEW CELERON 220

. xtreview 
 
 (2006-10-15)
   

. Retrieved on 2007-10-22. </ref>

Allendale

There was contention as to whether the previously-available low-end Core 2 Duo desktop processors (E6300, at 1.86 GHz and E6400, at 2.13 GHz, both with 2 MiB L2 cache) are specimens of the Allendale core. Prior to Q1 2007, all E6300 and E6400 processors released were Conroe (4 MiB L2 cache) cores with half their L2 cache disabled. The Allendale core, manufactured with 2 MiB L2 cache in total, offers a smaller die size and therefore greater yields.

Quoted from The Tech Report:

You'll find plenty of sources that will tell you the code name for these 2 MB Core 2 Duo processors is "Allendale," but Intel says otherwise. These CPUs are still code-named "Conroe," which makes sense since they're the same physical chips with half of their L2 cache disabled. Intel may well be cooking up a chip code-named Allendale with 2 MB of L2 cache natively, but this is not that chip.<ref> Cool and Cheap Screamers from Intel and AMD . The Tech Report (2006-08-08) . Retrieved on 2006-11-22. </ref>

Another difference between the premium E6000 series (Conroe core) and the E4000 series (Allendale core) is the front side bus clock rating. The E4000 series are rated to run on a quad-pumped 200 MHz front side bus ("800 MT/s") while the E6000 series are rated to run on a quad-pumped 266 MHz front side bus ("1066 MT/s"). The E4000 series also lack support for Intel VT-x instructions.

The currently available Core 2 Duo E4300 only uses an Allendale core, released on January 21, 2007. The Allendale processors use a smaller mask with only 2 MiB of cache, thereby increasing the number of chips per wafer. Allendale processors are produced in the LGA775 form factor, on the 65 nm process node. E6300 and E6400 CPUs have been made from both the 4 MB Conroe die and the 2 MB L2 Allendale die. The steppings of the chip differs depending on the die used- the Conroe-based E6300 and E6400 are stepping B2 and the Allendale-based E6300 and E6400 are stepping L2.

Initial list price per processor in quantities of one thousand for the E4300 was US$163. A standard OEM price was US$175, or US$189 for a retail package. Price cuts were enacted on April 22, 2007, when the E4400 was released at $133 and the E4300 dropped to $113. Allendale processors with half their L2 cache disabled were released in mid-June 2007 under the Pentium Dual-Core brand name.

On July 22, 2007, an E4500 Allendale was launched, phasing out the E4300 model.<ref name=Q3Slashes/> This was accompanied by a price cut for the E4400 model.

Merom

Merom, the first mobile version of the Core 2, was officially released on July 27, 2006 but quietly began shipping to PC manufacturers in mid-July alongside Conroe.<ref> Intel's Core 2 Duo chips arrive early

. MacNN  
 

 

. Retrieved on 2006-07-21. </ref><ref> Intel second quarter 2006 earnings release; see page 3

 (PDF)
. Intel 
 
 (2006-07-19)
   

. Retrieved on 2006-07-21. </ref> Merom is Intel's premier line of mobile processors, with largely the same features as Conroe, but with more emphasis on low power consumption to enhance notebook battery life. Merom-based Core 2 Duo provides 20% more performance yet maintains the same battery life as the Yonah-based Core Duo. Merom is the first Intel mobile processor to feature Intel 64 architecture.

The first version of Merom is "drop-in" compatible with Napa platform for Core Duo, requiring at most a motherboard BIOS update. It has a similar thermal envelope of 34 W and the same 667 MT/s FSB rate.<ref> Spring IDF 2006: Merom and Ultra Mobile PCs

. AnandTech  
 

 

. Retrieved on 2006-07-17. </ref> The Merom die features 4 MiB L2 cache, half of which is deactivated in the T5xx0 CPUs. A native 2 MB L2 version of the Merom core, called Merom-2M, was rolled out in early 2007. The Merom-2M core uses the steppings L2 and M0 and the ultra-low-voltage versions of the Core 2 Duo use this core.

A second wave of Merom processors featuring an 800 MT/s FSB and using the new Socket P was launched on May 9, 2007.<ref> Olsen , Sven



     (2007-03-07)
   
.    Intel Forecasts "Santa Rosa" for May 2007. 
. Computer Shopper 
   

. Retrieved on 2007-03-07. </ref> These chips are part of Santa Rosa platform.<ref> Kubicki , Kristopher




.    Intel 2006 Mobile CPU Roadmap Update 
. DailyTech 
   

. Retrieved on 2006-07-17. </ref> Low voltage versions were also released on May 9, 2007.

The first Core 2 Solo processors were launched in Q3 2007 and consisted of the U2100 and U2200, which run at 1.06 and 1.2 GHz, respectively. They both feature a 533 MHz FSB and are part of Intel's ULW family, running at only 5 W. Like the rest of the Core 2 family, they are 64-bit compatible. They were released with compatibility with the Napa platform rather than the newer Santa Rosa platform due to power consumption concerns. <ref> Intel Core 2 Solo ULV Processors Coming in Q3 2007

. laptoping.com 
 
 (2006-12-21)
   

. Retrieved on 2007-11-4. </ref>

Merom (מרום) is the Hebrew word for a higher plane of existence or a level of heaven, BaMerom (במרום) means "in the heavens". The name was chosen by the Intel team in Haifa, Israel, who designed this processor.

See the Merom section of "List of Intel Core 2 microprocessors" for a list of Merom processors.

Merom XE

The Core 2 Extreme Mobile processor, based on the Merom XE core, is a laptop CPU designed for ultra-high end laptops. It was released in two models, the X7900 and the X7800. These feature an 800 MHz FSB. The X7800, introduced on July 16, 2007,<ref>"

   Intel Takes Popular Laptops to 'Extreme' with First-Ever Extreme Edition Mobile Processor; Adds New Desktop Chip 
     
 " , Intel News Release
  , July 16 2007
 
  . Retrieved on 2007-08-30
 . </ref> is clocked at 2.6 GHz and costs around $851 for OEMs. The processor features a 44 W TDP and requires the new Intel Centrino (Santa Rosa) platform. The X7900, introduced on August 22, 2007, is clocked at 2.8 GHz.

The X7900 processor is currently used in the top-end iMacs, released in August 2007.

Kentsfield

The Kentsfield was the first Intel desktop dual-die quad core<ref> Intel Clovertowns step up, reduce power

. TG Daily  
 

 

. Retrieved on 2007-09-05. </ref> CPU branded as Core 2 (and Xeon for lower-end servers and workstations) released on November 2, 2006. The top-of-the-line Kentsfields were Core 2 Extreme models numbered QX6xx0, while the mainstream ones branded Core 2 Quad were numbered Q6xx0. All of them featured two 4 MB L2 caches. The mainstream Core 2 Quad Q6600, clocked at 2.4 GHz, was launched on January 8, 2007 at US$851 (reduced to US$530 on April 7, 2007, and to US$266 on July 22, 2007). July 22, 2007 marked the release of the next Core 2: Quad Q6700 and Extreme QX6850 Kentsfields at US$530 and US$999 respectively, and also price reduction of Core 2: Quad Q6600 and Extreme QX6800 Kentsfields to US$266 and US$999 respectively.<ref>"

   Intel Q3's Prices Revealed: 70% off to Intel C2Q Q6600 
     
 " , HKEPC Hardware
 
  . Retrieved on 2007-03-16
 . </ref>

Kentsfield, like the Pentium D branded CPUs, comprised two separate silicon dies<ref> Intel Kentsfield Preview

. X-bit labs  
 

 

. Retrieved on 2006-09-29. </ref>. The same dual-core die with the Core architecture could be found alone in every Core 2's dual-core only CPU. Hence, the max. power consumption (TDP) of the Kentsfield (QX6800 - 130 watts,<ref> Overindulge Yourself with QX6800: Not Your Plain Vanilla System!

. Tom's Hardware  
 

 

. Retrieved on 2007-06-11. </ref> QX6700 - 130 W,<ref> Intel quad-core QX6700 reaches system builders

. TG Daily  
 

 

. Retrieved on 2007-06-11. </ref> Q6600 - 95 W<ref> Intel pushes Q6600 quad-core CPU into 95 watt systems

. TG Daily  
 

 

. Retrieved on 2007-06-11. </ref>) was a double of its similarly clocked Core 2 Duo counterpart. For example, the QX6700 consisted of two E6700 chips connected together by a 1066 MT/s FSB on one MCM, resulting in lower costs but less bandwidth to the northbridge. The Kentsfield was one socket processor sitting in a LGA775 socket, as well as Core 2 Duo (AMD Quad FX consisted of two dual-core processors in two separate sockets on one motherboard with a 2 x 125 W<ref> AMD's Quad FX platform: AMD decides to socket to 'em

. The Tech Report  
 

 

. Retrieved on 2007-06-11. </ref> = 250 W TDP).

The quad-core CPU, including the Kentsfield, processes very well with multi-threaded applications (typical for transcoding audio and video, compressing, photo and video editing and rendering, ray-tracing), where its processing ability may approach double that of each of its halves. Each Kentsfield's half comprised an equally clocked dual-core CPU on a separate die. Both dies were in one package similarly to the Pentium D branded processors. A quad-core CPU (as a two-die set in particular), however, can rarely double the processing ability of each of its constituent halves (e.g. the Kentsfield rarely doubles the ability of the Conroe), due to a loss of performance resulting from connecting them (i.e. sharing the narrow memory bandwidth, and operating system overhead of handling twice as many cores and threads).

Single or dual-threaded applications alone, including many games, do not benefit from the second pair of cores of a quad-core CPU over an equally clocked dual-core CPU. For example, the quad-core Extreme QX6700 (Kentsfield) did not process those applications faster than the older dual-core Extreme X6800 (Conroe XE core), because its FSB's speed was the same, and it was clocked slightly slower. Nevertheless, a simultaneous running of several processor-intensive single/dual-threaded applications on the quad-core CPU is generally much faster than on equally clocked dual-core CPU. The quad-core CPU is useful also to run both the client and server processes of a game without noticeable lag in either thread, as each instance (up to four) could be running on a different core. Furthermore, multi-threaded games (see Crysis and Gears of War) benefit from the quad-core CPUs<ref> Crysis - Confirmed Runs Best on Quad Core and 64 Bit OS

. Tom's Hardware  
 

 

. Retrieved on 2007-08-28. </ref>, because — as Cervat Yerli of Crytek said — four cores will make for better frame rates and gameplay as audio, physics and AI as well as other things are all offloaded to separate cores.

Kentsfield XE

The first Kentsfield, named Core 2 Extreme QX6700 (product code 80562) and clocked at 2.67 GHz, was released on November 2, 2006 at US$999.<ref> Intel's Core 2 Extreme QX6700: The Multi-core Era Begins

. AnandTech  
 

 

. Retrieved on 2006-11-11. </ref><ref> Intel Core 2 Extreme QX6700

. Bit-Tech  
 

 

. Retrieved on 2006-11-11. </ref><ref> "Kentsfield" to Debut at 2.66 GHz

. DailyTech  
 

 

. Retrieved on 2006-09-29. </ref><ref> The Quad Squad

. CNET  
 

 

. Retrieved on 2006-11-12. </ref><ref> Intel "Kentsfield" Named Core 2 Quad

. DailyTech  
 

 

. Retrieved on 2006-09-29. </ref> January 4, 2008 marks its discontinuation.<ref> Intel already phasing out first quad-core CPU

. TG Daily  
 

 

. Retrieved on 2007-09-07. </ref> It was the first x86 quad-core processor ever, featured the Kentsfield XE core, and complemented the Core 2 Extreme X6800 dual-core processor based on the Conroe XE core. The CPUs with the Kentsfield XE core had the highest and unlocked multipliers like their Extreme predecessors.

The Core 2 Extreme QX6800 clocked at 2.93 GHz — a new top Kentsfield XE — was released on April 8, 2007 at US$1,199. It had a 130 W TDP thermal envelope, and was intended for high end OEM-only systems.<ref> Overindulge Yourself with QX6800: Not Your Plain Vanilla System!

. Tom's Hardware  
 

 

. Retrieved on 2007-06-11. </ref>

The Core 2 Extreme QX6850 clocked at 3.0 GHz was launched on July 22, 2007 at US$999 — a typical price for an Intel top CPU (branded Extreme). It featured a faster 1333 MHz FSB. Simultaneously, the formerly available Extreme QX6700 was relaunched at a slightly lower price as the Core 2 Quad Q6700 clocked at 2.66 GHz became available, but consuming less power than the Extreme QX6700. Also, the price for the 2.4 GHz Quad Q6600 was dropped to a US$266.

Yorkfield XE

On November 11, 2007, Intel released the first Yorkfield XE processor, Core 2 Extreme QX9650. It is the first Intel desktop processor to use 45 nm technology and a high-k metal gates. Yorkfield features a dual-die quad core design with two unified level-two (L2) caches, with a total of 12 MiB (2 × 6144 KiB) of L2 cache. It also features a 1333 MHz FSB and clock speed of 3 GHz. The processor incorporates SSE4.1 instructions and has total of 820 million transistors on 2x107 mm² dies.

Penryn

{{#tag:ImageMap| Image:Wikinews-logo.svg|50px|n: default n: desc none}}

Wikinews propose des actualités concernant « Intel launches 45nm "Penryn" processor aiming for energy-efficiency ».

The successor to the Merom core currently used for the Core 2 Duo T5000/T7000 series mobile processors, code-named Penryn, debuted on the 45 nanometer process which will also be used for the Conroe sequel, Wolfdale (see below). Many details about Penryn appeared at the April 2007 Intel Developer Forum. Its successor is expected to be Nehalem.

Important advances<ref> Report on Penryn Series Improvements.

 (PDF)
. Technology@Intel Magazine 
 
 (October 2006)
   

. Retrieved on 2007-08-28. </ref> include the addition of new instructions (including SSE4, also known as Penryn New Instructions) and new fabrication materials (most significantly a hafnium-based dielectric).

Penryn is paired with the 2007 desktop chipset series, Bearlake<ref> Intel to ship 'Bearlake' chipsets as 3x series?

. The Register  
 

 

. Retrieved on 2007-03-03. </ref>, some of whose models include an increase in bus speed (connection to the northbridge, etc.) to 1333 MT/s and support for DDR3 SDRAM. In notebooks and other mobile equipment, Penryn pairs with the mobile chipset series Crestline, which does not support DDR3, although Intel believes<ref> DDR3 advances make it a "must have" for Mobile Memory

 (PDF)
. Intel Developer Forum 
 
   (2007)
     
   
 

. Retrieved on 2007-08-28. </ref> future DDR3 support will benefit mobile equipment's power- and heat-constrained environments.

Penryn will also be released in a quad-core version for desktop replacement notebooks.

The newest Intel Core 2 Extreme processor that boasts this new core is the Core 2 Extreme QX9650. This chip has a clock speed of 3.0 GHz and a FSB of 1333 MHz, and features new SSE4.1 instructions. SSE4.1 can greatly speed up tasks such as some key operations in video encoding. Intel released sixteen new 45 nm Penryn processors on November 12, 2007. However, all but the QX9650 were Xeon models.

Intel has announced its new 45nm Penryn-based Core 2 Duo and Core 2 Extreme processors will be released on January 6, 2008. The new processors will launch exclusively with a 35W thermal envelope. The new processors are slated to be unveiled further at MacWorld 2008 as part of Apple's mobile lineup.<ref> Hill , Brandon



     (2007-12-04)
   
.    Intel's "Penryn" Mobile Processor Schedule Revealed 
. DailyTech.com 
   

. Retrieved on 2007-12-04. </ref>

Future processors

Modèle:Future chip

Wolfdale and Yorkfield

Wolfdale will be the desktop version of Penryn, with two cores sharing 6 MB of level-two (L2) cache. Yorkfield will feature a dual-die quad core design with two unified 6 MB L2 caches resulting in a total amount of 12 MB L2-Cache. They are also expected to feature 1333 MHz FSB and be compatible with the Bearlake<ref> Second-Generation Quad-Core Chip from Intel to Come in Q3 2007.

. X-bit labs  
 

 

. Retrieved on 2006-10-01. </ref> chipset. These processors are expected to become available in early 2008, and the platform will support DDR3 and have a 1333 MHz FSB.

The Wolfdale and Yorkfield CPUs are to be released in January 2008. However, a bug was discovered in the Yorkfield, delaying its release to Feburary or March 2008.<ref>http://www.behardware.com/news/9264/the-yorkfield-delayed-confirmed.html</ref> At the Intel Developer Forum 2007, a Yorkfield processor was compared with a Kentsfield processor.<ref> IDF kickoff: Going after AMD, and beyond

. TG Daily  
 

 

. Retrieved on 2007-04-18. </ref><ref> Intel won't launch 45 nm desktop CPUs until 2008?

. The Register  
 

 

. Retrieved on 2007-03-03. </ref>

Successors

Modèle:Future chip The successor to Penryn, based on a new post-Core microarchitecture which features the return of hyperthreading, is Nehalem; it was discussed at the September 2007 IDF meeting, though the release date is not until the end of 2008.

The 32 nm shrink of Nehalem is called Westmere; provided that Intel stays on target with its roadmap, Sandy Bridge will be released at 32 nm with a newer microarchitecture around 2010.<ref> Kubicki , Kristopher




.    Intel Life After "Conroe" 
. DailyTech 
   

. Retrieved on 2007-03-03. </ref> In 2011, Intel will launch its first processor based on a 22 nm process. Based on Intel's cycle alternating new architectures and die shrinks every two years, it is currently assumed that this will be a shrink of Sandy Bridge.<ref> Kubicki , Kristopher




.    Life With "Penryn" 
. DailyTech 
   

. Retrieved on 2007-03-03. </ref>

System requirements

Motherboard compatibility

Conroe, Conroe XE and Allendale all use Socket LGA775; however, not every motherboard is compatible with these processors.

Supporting chipsets are:

See also: List of Intel chipsets

The currently released Yorkfield XE model QX9650 (45nm with 1333fsb) currently has limited chipset compatibility - with only X38, P35 and some 975X motherboards being compatible. BIOS updates are gradually being released to provide support for the new penryn technology.

Although a motherboard may have the required chipset to support Conroe, some motherboards based on the above mentioned chipsets do not support Conroe. This is because all Conroe-based processors require a new power delivery feature set specified in Voltage Regulator-Down (VRD) 11.0. This requirement is a result of Conroe's significantly lower power consumption, compared to the Pentium 4/D CPUs it is replacing. A motherboard that has both a supporting chipset and VRD 11 supports Conroe processors, but even then some boards will need an updated BIOS to recognize Conroe's FID (Frequency ID) and VID (Voltage ID).

Synchronous memory modules

Unlike the previous Pentium 4 and Pentium D design, the Core 2 technology sees a greater benefit from memory running synchronously with the Front Side Bus (FSB). This means that for the Conroe CPUs with FSB of 1066 MT/s, the ideal memory speed for DDR2 is PC2-4200 or PC2-8500 (twice the speed of PC2-4200). In some configurations, using PC2-5300 can actually decrease performance. Only when going to PC2-6400 is there a significant performance increase. While expensive DDR2 memory models with tighter timings do improve performance, the difference in real world games and applications is negligible.<ref> Intel Core 2: Is high speed memory worth its price?

. Madshrimps  
 

 

. Retrieved on 2006-08-01. </ref>

Optimally, the memory bandwidth afforded should match the bandwidth of the FSB closely. The AGTL+ PSB used by all NetBurst processors as well as current and medium-term (pre-QuickPath) Core 2 processors provide a 64-bit data path. Current chipsets provide for two DDR or two DDR2 channels.

Matched processor and RAM ratings
Processor Model Front Side Bus Matched memory and maximum bandwidth
single channel / dual channel
DDR1 DDR2 DDR3
mobile: T5200, T5300, U7n00 533 MT/s PC-2100 (DDR-266)
2.133 GB/s / 4.267 GB/s
PC2-4200 (DDR2-533)
4.264 GB/s / 8.528 GB/s
PC2-8500 (DDR2-1066)
8.500 GB/s / 17.000 GB/s
PC3-8500 (DDR3-1066)
8.53 GB/s / 17.06 GB/s
desktop: E6n00, E6n20, X6n00, Q6n00 and QX6n00 1066 MT/s
mobile: T5n00, T5n50, T7n00, L7200, L7400 667 MT/s PC-2700 (DDR-333)
2.667 GB/s / 5.334 GB/s
PC2-5300 (DDR2-667)
5.336 GB/s / 10.672 GB/s
PC3-10600 (DDR3-1333)
10.67 GB/s / 21.34 GB/s
desktop: E6n40, E6n50, QX6n50, QX9650 1333 MT/s
mobile: T5n70, Socket P T7n00, L7300, L7500, X7n00
desktop: E4n00, Pentium E21n0, Celeron 4n0
800 MT/s PC-1600 (DDR-200)
1.600 GB/s / 3.200 GB/s
PC-3200 (DDR-400)
3.200 GB/s / 6.400 GB/s
PC2-3200 (DDR2-400)
3.200 GB/s / 6.400 GB/s
PC2-6400 (DDR2-800)
6.400 GB/s / 12.800 GB/s
PC3-6400 (DDR3-800)
6.40 GB/s /12.80 GB/s
PC3-12800 (DDR3-1600)
12.80 GB/s / 25.6 GB/s

On jobs requiring large amounts of memory access, the quad-core Core 2 processors can benefit significantly<ref> Benchmarks of four Prime95 processes on a quad-core

. Mersenne Forum  
 

 

. Retrieved on 2007-05-22. </ref> from using a PC2-8500 memory, which runs exactly twice as fast as the FSB; this is not an officially supported configuration, but a number of motherboards offer it.

The Core 2 processor does not require the use of DDR2. While the Intel 975X and P965 chipsets require this memory, some motherboards and chipsets support both the Core 2 and DDR memory. When using DDR memory, performance may be reduced because of the lower available memory bandwidth.

Chip bugs

The Core 2 Memory management unit (MMU) in X6800, E6000 and E4000 processors does not operate as previously specified or implemented in previous generations of x86 hardware. This may cause problems, many of them serious security and stability issues, with existing operating system software. Intel's documentation states that their programming manuals will be updated "in the coming months" with information on recommended methods of managing the Translation Lookaside Buffer (TLB) for Core 2 to avoid issues, and admits that, "in rare instances, improper TLB invalidation may result in unpredictable system behavior, such as hangs or incorrect data."<ref> Intel® CoreTM2 Extreme Processor X6800 and Intel® CoreTM2 Duo Desktop Processor E6000 and E4000 Sequence, page 58


. Intel.com 070704Modèle:Dead link</ref>

Among the issues noted:

  • Write-protect or non-execute bit for a page table entry is ignored.
  • Floating point instruction non-coherencies.
  • Allowed memory corruptions outside of the range of permitted writing for a process by running common instruction sequences.

Intel errata Ax39, Ax43, Ax65, Ax79, Ax90, Ax99 are said to be particularly serious. 39, 43, 79, which can cause unpredictable behavior or system hang, have been fixed in recent steppings.

Among those who have noted the errata to be particularly serious are OpenBSD's Theo de Raadt[1] and DragonFly BSD's Matthew Dillon[2]. Taking a contrasting view was Linus Torvalds, calling the issue "totally insignificant", adding, "The biggest problem is that Intel should just have documented the TLB behavior better."[3]

Pricing

The pricing for various models of Core 2, in lots of 1000 to OEMs, at the time the processors were released, can be found in the list of Intel Core 2 microprocessors. It should be noted that these prices are what it costs system builders such as Dell and HP to stock Core 2 processors. There are no set MSRPs for Core 2 CPUs in the retail channel — prices at retailers are usually very close to the aforementioned prices, but are dependent on what the supplier is charging to stock these CPUs as well as supply and demand.

Nomenclature and abbreviations

With the release of the new Core 2 processor, the abbreviation C2 has come into common use, as well variants C2D (the present Core 2 Duo), and C2Q, C2E to refer to the Core 2 Quad and Core 2 Extreme processors respectively. C2QX stands for the Extreme-Editions of the Quad (QX6700 or QX6800).

See also

References

<references />

External links

News reports on the Core 2 product launch

Reviews

Modèle:Intel processorsca:Intel Core 2 Duo da:Intel Core 2 Duo de:Intel Core 2 es:Intel Core 2 Duo eu:Intel Core 2 fr:Intel Core 2 ko:인텔 코어 2 프로세서 id:Intel Core 2 it:Core 2 Duo he:Core 2 Duo nl:Intel Core 2 ja:Intel Core 2 pl:Intel Core 2 pt:Intel Core 2 ru:Intel Core 2 fi:Intel Core 2 sv:Intel Core 2 tr:Intel Core 2 zh:Intel Core 2